FPGA各型号最多有几个锁相环

能有几个PLL(锁相环)这个在你建立工程的时候就能看得到啊,如果你用的是Altera系列的FPGA,在Quartus II 中用New Project Wizard建立的话,第三步(Page 3 of 5)即Family & Device Settings 的 Available devices 栏目里每款器件都有"PLL"栏目,下面写着数字几就是最多有几个锁相环啊。如果是已经建立好的工程,可以点击菜单栏下拉的”Assignments -> Devices...“,也可以看得到啊。
从FPGA芯片型号也可以大致知道它最多锁相环数目的范围,以Altera公司的最常见的Cyclone系列为例,EP1C3T最多有1个PLL,EP1C4F~EP1C20F最多有2个PLL;Cyclone II 系列中EP2C5A~EP2C8T 最多有2个PLL,EP2C15A~EP2C70F最多PLL为4个;Cyclone III 系列中EP3C5E~EP3C10U 最多有2个PLL,EP3C16E~EP3C120F最多有4个PLL。
不行就去官网看产品目录,下面网址是Altera公司的product catalog:
http://www.altera.com.cn/literature/sg/product-catalog.pdf
Xilinx公司的FPGA我不太了解,不敢乱说,但我估计在开发工具里或者官网上也很容易查询得到的呀~

补充:
Altera的命名规则与PLL数目并无直接关联。但目前可以说 cyclone II 和cyclone III 、cyclone IV E FPGA系列中最多有4个PLL的芯片,而cyclone IV GX FPGA系列中就有最多有8个PLL的芯片(其中4个是通用PLL,即GPLL,位于管芯的每个角上;另4个是多用途PLL,即MPLL,可以供收发器使用,也可以由FPGA架构使用)。
cyclone IV GX FPGA系列简介:
http://www.altera.com.cn/products/devices/cyclone-iv/overview/cyiv-overview.html
其他型号的信息你也可以点击上面网页中左侧菜单链接~

附:http://www.altera.com/support/kdb/solutions/rd07152010_131.html
How can I select which type of PLL will be used in a Cyclone IV GX design?

Altera的命名规则如下:
工艺+版本+型号+LE数量+封装+器件速度。
举例:
EP2C20F484C6
EP 工艺
2C cyclone2 (S代表stratix。A代表arria)
20 2wLE数量
F484 FBGA484pin 封装
C6 八速 数字越小速度越快。

(舟的惠13735752335)FPGA 锁相环问题 - ______ 那个提示根本不是说clk已经使用了,而是说clk的引脚不是时钟引脚.

(舟的惠13735752335)FPGA的问题, 请了解的给解下惑: ______ 关于问题1,在FPGA内部,用逻辑实现,比如assign pin_1=pin_n,将pin_1指定为输入,pin_n指定为输出,这样两个管脚就连起来了,上电boot后,是可以测出来两个管脚是短路的,会滴滴的叫. 关于问题2,FPGA一般有内核电压和IO电压,通常内核电压是1伏,IO电压根据你使用的端口逻辑来确定,可能是1.8v,也可能是3.3伏,还有辅助电压2.5伏; 关于问题3,好的教材真是不多.建议先把整个开发流程搞清楚,然后再语言,再搞工具.不要急,慢慢来,说起来还是有点东西的.

(舟的惠13735752335)用FPGA的锁相环PLL 给外围芯片提供时钟 - ______ 全局时钟管脚GC和局部时钟管脚CC,只是针对输入时钟来说的,通过GC管脚进来上BUFG,可以将时钟直接上全局时钟网络.输出信号来讲,没有时钟管脚这个说法,所有IO都可以用.PS:放心用吧,我们这200M的QDR时钟就是普通IO输出,没问题

(舟的惠13735752335)朋友那个基于FPGA的锁相环设计 你搞定了没有 我想问一下纯数字的锁相环 能进行40K的倍频吗 - ______ 是我没看懂题还是你们想得太难了?1HZ倍频到4KHZ,不一定只能倍输入的频率吧?提问者也已经说了,FPGA根本就通不入那么小的频率的,解决问题方法我觉得很多啊,1HZ不就是1S一个周期吗,那么我输出在1S中输出40K个周期不就可以...

(舟的惠13735752335)quartus ii 中有没有全数字锁相环的IP核 - ______ 楼主是生成一个FPGA工程吧,你直接用quartus的ip工具生成一个ALTPLL就行了,直接输出两个时钟,一个10倍一个是十分之一,再新一个VHD文件,把这个ALTPLL例化一下就行啦.

(舟的惠13735752335)PLL锁相环的怎样认识? - ______ 锁相环用于FPGA主要是倍频,就是把输入的时钟,比如说20M,倍频到100M,200M,一般FPGA都有锁相环内核,直接调用即可,要注意有些PLL的输入时钟的管脚必须接到全局时钟管脚上

(舟的惠13735752335)fpga 下载步骤 - ______ 在quartus II中完成编译仿真后,经过以下步骤 1 观察RTL 电路 2 引脚锁定 3 编程下载 并口线下载即可 quartus II带有编程下载的功能 这本书有详细下载步骤EDA技术与VHDL(第二版) 清华大学出版社

(舟的惠13735752335)跪求FPGA指导 - ______ FPGA产生三角波,好像比较麻烦吧,你走弯路了.要生成的PWM波,可以直接使用PLL_ADV来实现;

(舟的惠13735752335)PLL和DLL:都是锁相环,区别在哪里? - ______ DLL是基于数字抽样方式,在输入时钟和反馈时钟之间插入延迟,使输入时钟和反馈时钟的上升沿一致来实现的.又称数字锁相环. PLL使用了电压控制延迟,用VCO来实现和DLL中类试的延迟功能.又称模拟锁相环 .功能上都可以实现倍频...

(舟的惠13735752335)一些关于FPGA的解释 - ______ FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物.它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解...