关于modelsim仿真出现Fatal: SDF files require Altera primitive library 的问题

没问题

(衷王轰18359618284)modelsim与quartusii联合仿真出现错误 - ______ 哈哈,我也遇到了这个问题,刚刚解决了. 报错信息中指明为这样的一个情况,你选择了modelsim作为仿真工具,但是quartus找的却是modelsim altera 这样的一个可执行文件. 建议更改仿真工具,改为modelsim_altera 作为你的仿真工具即可...

(衷王轰18359618284)modelsim仿真一直出现这种错误,请教大侠指导 - ______ 不是moudle, 应该是module 提示第一行出错 verilog的基本写法: module ...... endmodule

(衷王轰18359618284)modelsim - se10.0c仿真中出现的错误,应该如何解决.我在Quartus ii中设置的都不对. - ______ 你的modelsim和quartus II没有关联到一起,在quartus中调用modelsim的时候,需要把两者的路径联系到一起,具体操作步骤如下:tools->EDA tools options->选择相应的仿真软件,并双击其后面对应的路径选择地址—>将仿真软件安装路径选择进去即可 如果满意的话,别忘记给好评奥,有问题可以继续讨论

(衷王轰18359618284)关于ISE的一个问题,请大家帮忙! ______ 选择编译库和Modelsim的路径.在<ise安装路径>/bin/nt/下找到compxlibgui.exe并执行,按照图形界面提示逐步执行即可,其他方法不在介绍.选择正确的路径,Edit→prefences→ise general→integrated tools→model tech simulator,在此位置输入正确安装路径即可.完成上述步骤之后,就可实现无缝连接.如有疑问,可在我的播客留言http://perfectzj.zone.ku6.com/

(衷王轰18359618284)modelsim仿真出问题,the design uni was not found,你是怎么解决的 - ______ 不好意思啊,我记不清当时怎么解决这个问题的了.好象是仿真需要的文件要放在指定位置的指定文件夹里.我回去看看以前搜的教程还在不在 唉,时间太久了,你下一份资料看看: ModelSim简明操作指南.pdf 照着里面的方法做,我看了看以前的记录,比如modelsim装在D盘 program files 这个文件夹下面,那么我仿真的文件夹(一共两个,其中一个比如modelsim_lib)也是放在这个文件夹下的.只能回忆起那么多了,不好意思啊,希望能帮到你吧

(衷王轰18359618284)modelsim仿真时出现的问题,高人解答一下? llegal output or inout port connection (port 'dout'). - ______ 这是因为端口定义的类型错误,调用时数据的类型要与你调用的module里面的数据类型保持一致,你把input 和 output的数据类型(wire或reg)改变一下试试.

(衷王轰18359618284)新手求助:用Quartus II 11.0编译的时候出现这样的关键警告,调用Modelsim总是出现这样的对话框,怎么解决 - ______ <p>需要在Tool中指定modelsim-altera的路径,并且要在仿真设置中将仿真工具设置成modelsim-altera之后才能正常的仿真</p> <p>你的情况就是第一步都没有完成</p> <p>1.指定路径菜单-Tools-options-EDA工具设置</p> <p> 见图:</p> <p> </...

(衷王轰18359618284)用modelsim后仿真出错: ______ 1. 在你的测试文件里面有一个实例化模块,那个模块的名字就是 instance名,调用的模块就是instance,所以可能问题出来测试模块里面的实例化(instance) asynch_inst

(衷王轰18359618284)modelsim仿真问题 - ______ 仿真时把左下角enable optimization的√去掉试试

(衷王轰18359618284)Modelsim 编译可通过,添加仿真文件时候出错 - ______ 你是用的Quartus?你可以看一下你的设置,需要将bist_test_vlg_tst.vt文件和你的工程.vt关联起来.